About

Dry Etching of dielectric, semiconductor and metal layers using Reactive Ion Etching (RIE) and Atomic Layer Etching (ALE) modes

Description

In a typical RIE process, plasma is initiated under low pressure by applying a Radio Frequency (RF) voltage capacitively coupled to the substrate. Typical value for the RF frequency of the voltage is 13.56MHz. The generated electric field is applied at a power of a few hundreds of Watt. An RIE system has a low ionization efficiency and generates a low density plasma. Both features result in low etching rates making RIEs a good choice for thin film applications. In contrast to the typical isotropic etch profiles resulting from wet chemical etch processing, highly controllable isotropic and anisotropic etch profiles can be produced by RIE depending on the treated material and etching parameters (pressure, gas, power). 

When precise control of the etching depth on shallow features is needed, ALE is the mode of choice. ALE is the etching mode of choice especially for devices in the scale of nanometers where peak performance is directly related to the accuracy of the transfer of the pattern. Contrary to RIE, ALE process typically involves a cycle of four steps that is repeated as many times as it is necessary to achieve the required etching depth. Typically each step of a cycle lasts for about 10msec. During the first step of the cycle, the etching gas which is in the plasma phase, is inserted in the chamber and adsorbs on the surface to be etched. This step is self-limited by controlling the concentration of the etching gas and other process parameters. A well performed process optimization can lead to an etching rate of one atomic layer. The second step is purging of all residual gasses. The third step involves the bombardment of the surfaces with an inert gas ions in order to remove the reacted surface. This is step is a self-limiting process which involves a precise process optimization, just like step 1. The fourth step involves the purging of the etching products out of the reactor. ALE is the ideal dry etching mode if someone is looking for: 

– Low damage etching, due to the use of low ion energies 

– Precise control of etching depth 

– Ultra-thin layer removal 

– Self-limiting behaviour 

– High selectivity, since dose gas and ion energy can be tailored to minimise etching of mask layers or underlying materials 

– Etch rate is less affected by the aspect ratio of etched features 

– Improved uniformity, due to its self-limiting nature 

– Smooth etch surfaces 

– Anisotropic in nature, due to the reliance on ion bombardment 

Technical specifications:

RIE: 

  • Tool

RIE Alcatel / Nextral NE330 

  • Applications

Dry etching of Si, GaAs, SiO2, Si3N4, SiOxNy and organic materials. Surface modification. 

  • RF Frequency

13.56MHz 

  • RF Power

0 – 500Watt 

  • Pressure

5 to 100mTorr 

  • Gases

O2, N2, SF6, CHF3, Ar 

  • Sample Dimensions

From pieces of 1×1 cm2 up to 5 wafers of 4 inch in diameter 

 

ALE: 

  • Tool

Oxford Instruments Plasma Pro 100 Cobra 

  • Applications

Dry Etching of Si, SiO2, Si3N4, SiOxNy ZnO, TiO2, SnO, ITO, CrO, NiO, Al2O3, HfO2, ZrO2, Y2O3, Ta2O5, WO3, MoO3, SiC, TaN, TiN, AlN, HfN, GaN, AlGaN, MoS2, WS2, MoTe2, MoSe2, Al, Cr, Cu, Ti, Ni, Co, Ru,  Au, Pt, Pd, Ag. 

  • RF Frequency

13,56 MHz for RIE mode  

  • RF Power

600W for RIE mode 

  • Pressure

Typical Process Pressure 100mTorr 

  • Gases

Cl2, Ar, O2, BCl3, SF6, C4F8, CH4, H2, N2, He. 

The tool is expected to be operational in 2024 

Access Provider / Facilities

NCSR Demokritos